Добавить новость
«Время электроники»
Январь
2024
1
2
3 4 5
6
7
8 9 10 11 12 13
14
15 16 17 18 19
20
21 22 23
24
25 26 27
28
29 30 31

Полупроводниковая микроэлектроника — 2023 г. Часть 2. Мировой рынок временно упал, но быстрый рост производственных мощностей и новых технологий продолжается

Автор: Дмитрий Боднарь, к. т. н., генеральный директор, АО«Синтез Микроэлектроника»   Мировой рынок полупроводников Еще в 2022 году обозначилась тенденция снижения мирового полупроводникового рынка, и мнение аналитиков в прогнозах на 2023-й было единодушным: предполагалось падение рынка до 10%, а по самым пессимистичным ожиданиям — и вовсе на четверть [1]. Первое полугодие 2023-го действительно оказалось провальным...

Сообщение Полупроводниковая микроэлектроника — 2023 г. Часть 2. Мировой рынок временно упал, но быстрый рост производственных мощностей и новых технологий продолжается появились сначала на Время электроники.

Автор: Дмитрий Боднарь, к. т. н., генеральный директор, АО«Синтез Микроэлектроника»

 

Мировой рынок полупроводников

Еще в 2022 году обозначилась тенденция снижения мирового полупроводникового рынка, и мнение аналитиков в прогнозах на 2023-й было единодушным: предполагалось падение рынка до 10%, а по самым пессимистичным ожиданиям — и вовсе на четверть [1]. Первое полугодие 2023-го действительно оказалось провальным почти для всех сегментов рынка из-за сокращения потребительского спроса, затоваривания складов и сложной политической обстановки в мире. По прогнозам июня текущего года от WSTS, в 2023-м мировой полупроводниковый рынок должен сократиться на 10,3% и только два сегмента — дискретных приборов и оптоэлектроники — покажут положительную динамику [2]. Как уже часто бывало, лидером падения на 35% станут микросхемы памяти. Практически идентичные предположения о падении рынка на 11% за год делали в апреле 2023 года и аналитики Gartner, а также SIA и Statista [3–5]. Они ожидали восстановления и стабилизации рынка к середине 2023-го, а к его концу — рост по сравнению с первым полугодием. Следует отметить, что за последние 36 лет мировая полупроводниковая отрасль только однажды снижала ежегодные показатели продаж в течение двух лет подряд — во время мирового экономического кризиса 2008–2009 гг. Действительно, начиная с третьего квартала четко обозначилась тенденция восстановления и роста мирового полупроводникового рынка, и для многих гигантов результаты этого процесса оказались не только лучше итогов предыдущего квартала, но и превзошли прогнозы.

Таблица 1. Мировой полупроводниковый рынок 2023–2024 гг. по регионам и типам продукции от компании WSTS

Осень 2023 г.

Сумма, $ млн

Рост год к году, %

2022 г.

2023 г.

2024 г.

2022 г.

2023 г.

2024 г.

Северная и Южная Америка

141 136

132 536

162 154

16,2

–6,1

22,3

Европа

53 853

57 048

59 480

12,8

5,9

4,3

Япония

48 158

47 209

49 275

10,2

–2

4,4

Азиатско-Тихоокеанский регион

330 937

283 333

317 455

–3,5

–14,4

12

Итого по мировому рынку, млн долл. США

574 084

520 126

588 364

3,3

–9,4

13,1

Дискретные полупроводники

33 993

35 951

37 459

12

5,8

4,2

Оптоэлектроника

43 908

42 583

43 324

1,2

–3

1,7

Сенсоры

21 782

19 417

20 127

13,7

–10,9

3,7

Интегральные микросхемы

474 402

422 174

487 454

2,5

–11

15,5

Аналоговые

88 983

81 051

84 056

20,1

–8,9

3,7

Микро

79 073

76 579

81 937

–1,4

–3,2

7

Логика

176 578

174 944

191 693

14

–0,9

9,6

Память

129 767

89 601

129 768

–15,6

–31

44,8

Итого по изделиям, $ млн

574 084

520 126

588 364

3,3

–9,4

13,1

Примечание. Цифры в таблице округлены до полных миллионов долларов США, вследствие чего может возникнуть незначительная разница между строкой «Итого по мировому рынку» и «Итого по изделиям».

В ноябре WSTS опубликовала предварительные итоги мировой полупроводниковой промышленности за 2023 и прогноз на 2024 год (табл. 1) [6]. С учетом оживления мирового полупроводникового рынка во втором полугодии WSTS скорректировала в лучшую сторону свой весенний прогноз, и ее обновленная рыночная оценка на 2023-й теперь оценивается в $520 млрд, что на 9,4% меньше, чем в предыдущем году.

Единственным сектором продукции, где в 2023-м будет наблюдаться рост на 5,8%, станут дискретные полупроводники. Из мировых регионов в 2023 году только на европейском рынке прогнозируется рост с увеличением на 5,9%. Ожидается, что во всех категориях интегральных схем, включая аналоговые, микропроцессоры, логические и модули памяти,будет заметно снижение по сравнению с предыдущим годом. Как и предсказывалось ранее, самый значительный спад (на 31%) произойдет по микросхемам памяти.

рис. 1. Мировые поставки кремниевых пластин в 2021–2026 гг. от SEMI

Прогноз WSTS на 2024-й указывает на быстрый подъем мирового рынка полупроводников на 13,1%, с достижением показателя $588 млрд. Предполагается, что в значительной степени этот рост будет обусловлен сектором микросхем памяти, который в 2024-м вырастет примерно до $130 млрд и более чем на 40% по сравнению с предыдущим годом. Что касается большинства других основных сегментов, включая дискретные, сенсорные, аналоговые, логические и микропроцессоры, они также зафиксируют однозначные темпы роста.

С региональной точки зрения, в 2024 г. все рынки готовы к дальнейшему расширению, а рынки Северной и Южной Америки и Азиатско-Тихоокеанского региона продемонстрируют двузначный рост в годовом исчислении.

Эти прогнозы коррелируют с отчетом SEMI по мировым поставкам кремниевых пластин, которые в 2023 году снизятся на 14,1% в сравнении с 2022-м, а затем в 2024–2026 гг. будут расти и в 2026-м достигнут рекордного уровня 16 214 млн кв. дюймов (рис. 1) [7].

 

В США и в мире бум расширения производственных мощностей в полупроводниках

Принятый в США в 2022 году «Закон о чипах» вызвал небывалый ажиотаж в строительстве новых и расширении действующих мощностей заводов по производству полупроводников. В этих проектах участвуют как американские, так и иностранные компании, выпускающие чипы, оборудование, исходные пластины, химикаты и материалы. Общий объем объявленных в 16 штатах частных инвестиций составляет около $200 млрд (табл. 2) [8]. Это позволит создать 40 тыс. новых рабочих мест для высококвалифицированных специалистов. Поставщики разных материалов для производства полупроводников инвестируют $9 млрд в обеспечение растущих потребностей, что даст 4971 новых рабочих мест. Получение субсидий от государства и местных штатов является важным, но не главным аргументом этих решений. Большее значение имеет то, что правительство США взяло четкий и долговременный курс на технологическую модернизацию и расширение производственных мощностей более чем на 10 лет и доля США в мировом объеме за этот период будет только расти. А это означает, что вложенные в одну из самых быстрорастущих мировых отраслей частные инвестиции быстро окупятся и будут давать прибыль. И для бизнеса это даже не сигнал, а колокольный звон, который не слышать невозможно. Реально и эффективно функционирующая рыночная экономика показывает, что хороший пряник гораздо лучше десяти кнутов. К сожалению, в России это не так и пряник нужен как ловушка для частного бизнеса, которая быстро захлопнется. Поэтому реальных долговременных внутренних (а внешних тем более) частных инвестиций в отечественную полупроводниковую отрасль нет. Правительства девяти стран объявили о полномасштабной поддержке создания новых производственных мощностей и полупроводниковой отрасли в своих странах [9]. Евросоюз в рамках аналога американского «Закона о чипах» выделит $47 млрд на эти цели, что привлечет $16 млрд частных инвестиций. Конечная цель — к 2030 году удвоить долю Евросоюза в мировой отрасли с 10 до 20%. Китай, Япония, Южная Корея, Сингапур, Индия, Малайзия и другие страны тоже подтвердили такие планы и запустили программы поддержки.

Таблица 2. Частные инвестиции в полупроводниковую отрасль США в ближайшие 10 лет, вызванные Законом о чипах (проекты, анонсированные с мая 2020 по декабрь 2022 года)

Штат США

Название компании

Город/регион

Сумма инвестиций, $

Цель инвестиций

Прямая занятость

Аризона

Intel

Чандлер

(две фабрики)

20 млрд

Новое производство

3000

(две фабрики)

TSMC

Финикс

40 млрд

Новое производство

4500

(две фабрики)

Калифорния

Western Digital

Фримонт/ Сан-Хосе

350 млн

Расширение производства

240

Флорида

SkyWater

Округ Осеола

36,5 млн

Расширение производства

220

Айдахо

Micron

Бойсе

15 млрд

(до 2030 г.)

Новое производство

2000

Индиана

SkyWater

Уэст-Лафейетт

1,8 млрд

Новое производство

750

NHanced

Одон

236 млн

Новое производство

413

Everspin

Technologies

Одон

Неизвестно

Новое производство

35

Trusted Semiconductor

Solutions

Одон

34 млн

Новое производство

40

Канзас

Radiation Detection

Technologies

Манхэттен

4 млн

Расширение производства

30

Нью-Мексико

Intel

Рио-Ранчо

3,5 млрд

Расширение производства

700

Нью-Йорк

Micron*

Клей

20 млрд (до 100 млрд в течение 20 лет)

Новое производство

9000

(четыре фабрики)

Global Foundries

Мальта

1 млрд

Расширение производства

1000

Северная Каролина

Wolfspeed

Округ Чатем

5 млрд

(в течение 10 лет)

Новое производство

1800

Огайо

Intel

Нью-Олбани

(две фабрики)

20 млрд (до 100 млрд в течение 10 лет)

Новое производство

3000

(две фабрики)

Орегон

Analog Devices

Бивертон

1 млрд

Расширение производства

280

Rogue Valley Microdevices

Медфорд

44 млн

Новое производство

Неизвестно

Техас

Samsung

Тейлор

17 млрд

Новое производство

2000

Texas Instruments

Шерман (четыре фабрики)

30 млрд

(до 2030 г.)

Новое производство

3000

(на четыре фабрики)

Texas Instruments

Ричардсон

6 млрд

Расширение производства

800

NXP

Остин/

уточняется

2,6 млрд

Расширение производства

800

Юта

Texas Instruments

Лихай

3 млрд

Расширение производства

1100

ИТОГО

 

 

186,6 млрд

(до 346,6 млрд)

 

34 708 рабочих мест

Примечание. *Компания Micron анонсировала инвестиции суммой до $100 млрд на период, превышающий 10 лет, однако таблица отражает только инвестиции на ближайшую декаду.

По отчетам ассоциации SEMI, с 2023 по 2026 год мировые производители запустят 12 новых фабрик для 200-мм пластин и увеличат производственные мощности для них на 14%, достигнув рекордного уровня 7,7 млн пластин в месяц [10]. Рост мощностей для техпроцессов 80–130 нм составит 10%, а 130–350 нм — 18%. Лидером роста станет Юго-Восточная Азия (32%), на втором месте будет Китай (22%). Основными секторами применения этой продукции станут потребительский, автомобильный и промышленный сегменты.

Infineon Technologies выделяет 5 млрд евро на строительство в Малайзии самой крупной в мире фабрики для 200-мм пластин SiC [11], а GlobalFoundries и STMicroelectronics заключили соглашение о строительстве к 2026 году во Франции совместного завода по технологии FD-SOI на 300-мм пластинах стоимостью 7,5 млрд евро [12]. STMicroelectronics уже строит завод в Италии по производству исходных пластин карбида кремния стоимостью 730 млн евро [13], и местные власти покрывают 40% расходов, а сейчас планирует начать строительство в той же Италии завода стоимостью 5 млрд евро для выпуска чипов SiC [14]. Это создаст около 2 тыс. новых рабочих мест, и в соответствии с европейским законом о чипах компания ST также рассчитывает на субсидии в размере 2 млрд евро.

Крупнейшая мировая сборочная компания Amkor официально открыла во Вьетнаме свой самый крупный производственный комплекс стоимостью $1,6 млрд [15]. Завод будет предлагать услуги под ключ — от разработки до сборки и испытаний для современных изделий нового поколения «система-в-корпусе» (SiP) и микросхем памяти. В октябре американский производитель микросхем памяти Micron открыл свой второй сборочный завод в Малайзии, инвестировав $2 млрд и создав 4,5 тыс. рабочих мест [16].

Сейчас нет ни одной страны в мире с хорошо развитой экономикой и микроэлектроникой, которая не субсидировала бы свои и зарубежные компании, расширяющие производственные полупроводниковые мощности. И это, в отличие от США, проводится не для достижения национального суверенитета в данной отрасли, а из стратегических соображений. Все понимают, что со следующего года текущий временный спад сменится стабильным ростом отрасли, являющейся стратегической и системообразующей для всей экономики и промышленности мира и этих стран. Полупроводниковая микроэлектроника по-прежнему остается локомотивом, тянущим промышленность и экономику передовых стран вверх. Без новых технологий и мощностей будет сложно удержаться даже на сегодняшнем уровне.

С учетом не очень хороших рыночных показателей в течение последних почти двух лет, удивляют объявленные компанией Intel инвестиции в новое производство [17]. Компания инвестирует $20 млрд в расширение производства в штате Аризона, более $20 млрд в новое производство в Огайо и еще $3,5 млрд в современный сборочный завод по технологии Foveros в Нью-Мексико (США). В сентябре текущего года Intel открыла в Ирландии самый современный в Европе Fab 34 по техпроцессу Intel 4, инвестирует $17 млрд в завод в Магдебурге (Германия) и запланировала создание нового научно-исследовательского и конструкторского центра во Франции, а также расширение мощностей в области исследований, разработок и производства в Ирландии, Италии, Польше и Испании. Еще $4,7 млрд будет инвестировано в новый сборочный завод во Вроцлаве (Польша). Общий объем инвестиций Intel в Европе в течение ближайших 10 лет составит около $80 млрд. Запланированы также инвестиции в размере $7 млрд в предприятия компании в Малайзии. Это очень рискованные шаги главы Intel Пита Гелсингера, которые или могут вернуть компанию в лидеры мировой отрасли или приведут к ее глубокому провалу и усилению имеющихся разногласий с акционерами.

Стремление США, Евросоюза, Японии как минимум удвоить свою долю в мировом полупроводниковом производстве заслуживает внимания, но открытым остается вопрос: за счет снижения совокупной доли около 30% каких мировых регионов это будет достигнуто? Вряд ли Китай и Тайвань с этим согласятся.

 

TSMC станет мировым лидером полупроводниковых продаж

TSMC продолжает экспансию по строительству производственных линий для выпуска чипов за пределами Тайваня. Основатель TSMC Моррис Чанг (Morris Chang), ушедший в отставку в 2018 году, считает эту стратегию ошибочной и неоднократно выступал с критикой руководства компании, принимающего такие решения. Он полагает, что только Тайвань обладает экосредой, необходимой для развития самых современных техпроцессов. TSMC уже строит несколько предприятий в США в штате Аризона по технологиям 5–7 нм, завод я Японии на 12–28 нм, а в августе было объявлено о выделении инвестиций в размере 3,5 млрд евро на строительство первого завода компании в Европе [18]. Предприятие будет расположено в Германии в Дрездене, постепенно становящимся «кремниевой долиной» Германии и Европы. Дрезден является пятой по величине экосистемой производства полупроводниковых пластин и чипов в мире. Строительство завода для выпуска 40 тыс. 300-мм пластин в месяц по технологиям 12–28 нм начнется во второй половине 2024-го, а выпуск продукции — в 2027 году. На новой фабрике базовыми станут две технологии: 28–22-нм планарная КМОП и 16–12-нм FinFET. Обычно стараются избегать совмещения столь разных технологий на одной производственной линии, но у TSMC уже есть подобный опыт на заводах Тайваня и Китая.

Следует отметить, что по 28–22-нм планарной КМОП у нового завода в Европе есть конкуренты GlobalFoundries в том же Дрездене и STM во Франции, а по 18-нм техпроцессу GlobalFoundries и STM создают совместное предприятие во французском Кролле. После принятия решения о строительстве фабрики с участием TSMC компания GlobalFoundries предложила удвоить производственные мощности в Дрездене до конца текущего десятилетия и увеличить объем инвестиций в него до $8 млрд, но с условием получения от Евросоюза таких же субсидий, как для тайваньского чипмейкера [19]. Новым в проекте с TSMC в сравнении с другими проектами компании является то, что тайваньскому гиганту будет принадлежать через ее европейскую дочернюю компанию только 70% нового предприятия ESMC, а по 10% будет у европейских соинвесторов Bosch, Infineon и NXP. Общий объем инвестиций достигнет $10 млрд, количество рабочих мест — 2000 квалифицированных кадров. И этому решению есть несколько обоснований. До последнего времени автоэлектроника не относилась к приоритетным рынкам продукции тайваньского гиганта, основными являлись микросхемы для цифровых коммуникаций. Но после мирового дефицита автокомпонентов, обусловленного ковидной пандемией, а также из-за быстрого мирового перехода к электрическому транспорту TSMC выводит производство электронных автокомпонентов в приоритетные направления своей продукции. Все три европейские компании являются одними из главных мировых разработчиков и производителей автомобильной полупроводниковой микроэлектроники, а значит, выпуск изделий по техпроцессам 12–28 нм они будут заказывать на новом заводе. TSMC рассчитывает и на получение субсидий от Евросоюза, предусмотренных европейскими программами по созданию новых производств, и эти компании нужны для данной цели. Именно предоставление госсубсидий от властей США, Японии, Евросоюза на строительство новых заводов, явившихся следствием мирового дефицита полупроводников в 2020–2021 гг. и новой тенденции регионализации производства, способствовало принятию новой стратегии TSMC по производственной экспансии компании за пределы Тайваня, идущей вразрез с мнением ее основателя. К этому подвигло и мощное давление США — главного заказчика продукции, с которым TSMC не было никакого смысла ссориться. Диверсификации производственных возможностей в других регионах мира также требует не ослабевающий конфликт с Китаем по статусу острова Тайвань. На взгляд автора, еще одна скрытая и не озвучиваемая причина состоит в амбициях TSMC стать глобальным мировым лидером полупроводниковых продаж. И для этого есть все предпосылки. Так что Моррису Чангу, после восхождения его компании на первую строчку, вероятно, придется признать свою неправоту и изменить точку зрения на экспансию. Сейчас TSMC является самой дорогой компанией в Азии.

Планы Евросоюза по расширению производственной полупроводниковой базы на континенте и привлечение для этого крупных международных игроков Intel, TSMC, GlobalFoundries и других еще сильнее обострят ставшей за последние два-три года очень острой проблему большого мирового дефицита кадров для работы данных предприятий. Решить ее будет непросто и среди прочего следует ожидать оттока специалистов и инженеров из России, что еще более усложнит проблему в отечественной электронной промышленности. Ассоциация полупроводниковой промышленности США (SIA) в своем отчете также указывает, что к 2030 году занятость в этой отрасли в стране вырастет с 345 тыс. до 460 тыс. специалистов, а их дефицит составит 67 тыс. человек [20].

Весь процесс принятия и исполнения в США и Европе законов о чипах высветил полную неэффективность сильно разрекламированного ранее в России частно-государственного партнерства, практически нигде не показавшего положительный результат даже до санкционных ограничений. В США и Европе эти законы запустили мощный и конкурентный процесс борьбы частных компаний за право сотрудничать с государством на выгодных условиях. Так и должен работать стимулированный государством рыночный механизм развития и конкуренции. В нашей стране эти правила подстраиваются под государство, а затем еще и односторонне меняются в свою пользу или бизнес вообще отбирается.

 

Современные новые технологии в поддержку сохранения закона Мура

Масштабирование и уменьшение проектных норм чипов, создаваемое литографическими методами, в последние годы уже не является единственным и достаточным способом, обеспечивающим сохранение действия закона Мура. Тем более что их реализация требует все более сложного и дорогостоящего оборудования, чья стоимость соизмерима с ценой суперсовременных гражданских самолетов. Многие эксперты считают, что закон Мура уже давно потерял свою актуальность и для современных сложных микросхем больше не действует. В результате споров даже возникла градация и создание стратегий «Больше Мура» (More Moor) и «Больше, чем Мур» (More than Moor). Со стороны это очень напоминает игру слов, но при пристальном рассмотрении в них обнаруживается логический смысл.

Одним из методов, направленным на масштабирование чипов и сочетающим современные технологии изготовления чипов и передовой сборки, является переход к подаче внешнего электрического питания не с лицевой стороны, как было все последние 60 лет в микроэлектронике, а с обратной стороны чипа. Компания Intel последовательно продвигает и реализует такую концепцию, и с каждым годом она получает все большую поддержку в мировой микроэлектронике. Среди IDM- и foundry-производителей Intel считается компанией, наиболее продвинутой в передовых технологиях сборки и создании оптимального баланса между конструкцией чипа и сборочными процессами. И хотя сегодня мировые корпорации специализируются, разделяя выпуск чипов и сборок по разным предприятиям, Intel не только удается совмещать их на своих производственных мощностях, но и задавать тон в обоих направлениях. Основная цель — непрерывное улучшение параметров выпускаемых изделий и безусловное следование закону Мура — основателя Intel. В 2023 году после многолетних исследований компания подошла к реализации двух пионерских изобретений: созданию технологии PowerVia по подаче питания не с лицевой стороны (как сейчас), а с обратной стороны чипа (рис. 2) [21, 22] и замене органической подложки корпусов на стеклянную [23]. Исследования, проведенные Intel на тестовом чипе с применением FinFET-транзисторов по технологии Intel 4 и технологии PowerVia, показали рост производительности на 6% и снижение потерь мощности на 30% (рис. 3). Надо отметить, что выигрыш в 6% обычно составляет половину от того, что дает переход от одной технологической генерации к следующей — например, от 7- к 5-нм технологии.

 

рис. 2 а) Структура подачи питания чипа с обратной стороны PowerVia от Intel; б) реальный фрагмент чипа

Существует две возможности увеличить производительность. Во-первых, упростить подачу питания, что приводит к снижению падения напряжения, и это особенно важно при росте токовой нагрузки — например, при включении логических блоков микросхемы. Расположенные на верхней части чипа Intel 4 16-уровневые логические шины питания имеют шаг 30–280 нм, но есть еще две силовые шины для разводки питания и внешних соединений. Такая слоистая структура, которую Intel сравнивает с пиццей, приводит к падению напряжения при прохождении через 16–18 слоев.

рис. 3 Снижение токов утечек и повышение рабочей частоты FinFET-транзисторов Intel 4 с технологией PowerVia
 

Во-вторых, логическую ячейку можно сделать более компактной за счет уменьшения длины межсоединений, перенесенных на обратную сторону, а плотность матрицы ячеек довести до 95%. Это также сокращает паразитные емкости и повышает производительность микросхемы.

Intel заявляет, что производственный техпроцесс PowerVia не приводит к росту затрат в сравнении с действующей технологией и снижению выхода годных и надежности. В 2024 году Intel совместит технологии PowerVia с нанолистовой транзисторной структурой RibbonFET по технологии Intel 20A в процессорах Arrow Lake и планирует опередить своих конкурентов TSMC и Samsung. Однако проблемой может стать достижение необходимого выхода годных, что прежде уже значительно затормозило внедрение 10-нм технологии Intel.

Второе технологическое изобретение процессорного гиганта является ключевым для создания высокопроизводительных процессоров следующего десятилетия с помощью концепции «Больше, чем Мур». Компания Intel разработала технологию сборки и конструкции корпусов с заменой органической подложки современных корпусов на стеклянную. Эту технологию создавалась более 10 лет, а ее внедрение запланировано на вторую половину текущего десятилетия. Сравнение органической и стеклянной подложки (рис. 4) показывает, что последняя обеспечивает гораздо лучшую механическую прочность, плоскопараллельность, выдерживает более высокие температуры, имеет более близкий к кремнию коэффициент термического расширения и в 10 раз более плотный шаг сквозных отверстий. Все это позволяет размещать на подложке не только чипы крупных размеров, но и увеличивать их количество [24], что особенно важно при создании чиплетов.  Стеклянные подложки обеспечивают лучшие электрические характеристики и меньшие потери при подаче питания, должны упростить работу с совмещенной оптикой и интегрировать оптические соединения прямо в чип. Пока проблемой остается высокая стоимость новой технологии, но в любом случае речь не идет о полной замене органических подложек, особенно для более простых продуктов.

рис. 4 Сравнение органической и стеклянной подложки в новой генерации сложных корпусов от Intel

Как и 30 лет назад, Intel продолжает генерировать новые технические решения, которые станут базовыми для мировой полупроводниковой микроэлектроники в ближайшем будущем. Наличие высокого уровня и опыта в технологиях сборок и производства чипов может дать компании весомые преимущества перед главными конкурентами TSMС и Samsung. Еще одним аргументом станет возможность быстрого их внедрения на собственных продуктах компании и предоставление клиентам комплексного сервиса по обеим технологиям, чего нет у конкурентов. Но вначале Intel нужно преодолеть длительный спад продаж и текущий кризис и, как говорится, оттолкнуться от дна.

 

Компания Imec прокладывает дорогу к 0,2-нм технологии

Бельгийский инновационный центр Imec уже давно считается мировым лидером в индустрии полупроводников. Именно исследования Imec по субнанометровым конструкциям, широкозонным полупроводникам, новым типам межсоединений становятся вектором движения мировой микроэлектроники на ближайшие десятилетия. Подтверждая действие закона Мура об удвоении количества транзисторов каждые два года, специалисты Imec считают, что требуемые вычислительные мощности для искусственного интеллекта и машинного обучения удваиваются каждые шесть месяцев. Ранее Imec сформировал и создал техническую базу для перехода от транзисторных структур FinFET к нанолистовой структуре GaaFET и переходу к 1-нм технологии [25]. По мере приближения к этому рубежу специалисты стали заглядывать дальше этой психологической черты и формулировать пути движения к 0,2 нм до 2036 года, что и было представлено на презентации Imec в мае [26].

По мнению Imec, стандартные FinFET-транзисторы будут работать до 3-нм технологии, а в 2024 году начнется внедрение новой нанолистовой GAA-технологии в массовое производство 2-нм чипов. Технология GAA способствует повышению плотности транзисторов и увеличению производительности, используя тот же ток управления, что и несколько FinFET-транзисторов. Автор статьи полагает, что специалистам бельгийского центра хорошо известно, что GAA-конструкция транзисторов уже применяется в 3-нм техпроцессе Samsung, но они подразумевают полный переход всех компаний на эту конструкцию на процессе 2 нм. Кроме того, транзисторы GAA значительно уменьшают утечки благодаря каналам, полностью окруженным затвором. 

Согласно дорожной карте Imec, через несколько лет произойдет переход на транзисторы forksheet, более плотную версию GAA на самом базовом уровне, затем транзисторы примут эстафету от 2-нм и продлятся до 0,7-нм конструкции (рис. 5). В дальнейшем Imec ожидает, что новая технология FET (CFET), которая появится примерно в 2028 году, еще больше сократит площадь транзистора и позволит создавать более плотно упакованные библиотеки стандартных ячеек. В конструкции CFET NMOS- и PMOS-транзисторы располагают один над другим, чтобы обеспечить более высокую плотность и меньшие размеры. Последующие генерации будут охватывать версии CFET с атомарными каналами, что значительно повысит производительность и масштабируемость при достижении уровня 0,5 и 0,2 нм.

рис. 5. Конструкция базовых ячеек транзисторов до 0,2-нм технологии от компании Imec

Еще одно базовое направление в новых технологиях, подтвержденное Imec, включает разделение подачи питания чипа с лицевой и обратной стороны. Слаботочное питание логических ячеек будет выполняться с лицевой стороны, а силовые шины будут размещаться на обратной стороне чипа. Это повысит плотность компоновки логических шин на лицевой стороне и упростит 3D-размещение логических чипов над чипами памяти. TSMC планирует внедрить подачу питания с обратной стороны в 2-нм производстве в 2026 году, а Intel под названием PowerVia внедрит ее в свою 2-нм технологию в 2024-м. Samsung, еще один ключевой игрок в нанометровой гонке, освоит эту технологию в производстве 2-нм чипов.

рис. 6. 3D-чиплетная сборочная конструкция CMOS2.0 от Imec

Переход от 2D-чиплетной сборочной конструкции к 3D с еще более мелкой разбивкой на мелкие чипы — таково еще одно направление, представленное на презентации Imec. Бельгийцы назвали это направление CMOS 2.0. В рамках этой технологии уже должны использоваться чипы с питанием с обратной стороны. Imec предлагает разделить кэш-память и остальную память по разным блокам и даже чипам (рис. 6). Кэш-блоки L1–L3 будут располагаться вертикально друг над другом на собственных кристаллах над чипами, составляющими вычислительные ядра. Таким образом, когда каждый уровень кэш-памяти создается с применением наиболее подходящих для задачи транзисторов, для SRAM можно использовать более старые узлы, с более медленным масштабированием. Эта проблема масштабирования, в свою очередь, привела к тому, что кэши занимают большую часть кристалла, что приводит к более высокой цене за 1 Мбит памяти. Использование 3D-стеков предусматривает гораздо больший размер кэша, а также решит связанные с этим проблемы с задержкой.

 

Новые технологии сборки временно станут приоритетом крупных компаний

Создание технологической платформы 2,5–3D-чиплетной сборки очень быстро было принято и одобрено всеми крупными мировыми технологическими компаниями. В последние 20 лет мировая полупроводниковая индустрия развивалась по узко специализированным направлениям. Однако в последние годы в некоторых новых сферах стали происходить обратные процессы: наблюдалась интеграция и расширение бизнеса в соответствии со сквозным производственным циклом изготовления продукции. Наиболее наглядно это проявляется среди крупных производителей изделий по широкозонным полупроводникам SiC и GaN, которые стали приобретать сторонние компании и стартапы по всему технологическому маршруту разработки и производства — от дизайна, выращивания слитков и производства исходных пластин, чипов до сборки и технической поддержки. Оценивая резкий рост потребности в этих изделиях, именно через такую интеграцию компании видят основной путь к снижению себестоимости продукции и увеличению прибыли. Подобное происходит и в сфере самых современных и сложных сборочных технологий и хорошо иллюстрируется примером передового мирового чипмейкера TSMC. Технологии 2,5–3D-сборки используют многие процессы front-end- и back-end-производства чипов: утонение пластин, литографию, нанесение диэлектриков, сквозное глубокое плазменное травление и металлизацию отверстий TSV-интерпозеров и т. д. Сборочные компании не обладают таким оборудованием и возможностями, поэтому именно такие производители чипов, как TSMC, Intel, Samsung, выступили основными проводниками и законодателями 2,5–3D-чиплетной сборки. Даже три ведущие мировые сборочные контрактные компании ASE, Amkor, JCET пока технически и по составу оборудования не готовы к этим технологиям. Кроме того, разделение чипов на чиплеты только набирает обороты и сопровождается большим количеством вопросов, одним из которых является межчиповая совместимость чиплетов по конструкции, параметрам и сборке. Пока ведущая технологическая тройка, и особенно Intel, не отработают соответствующие алгоритмы и стандарты и рынок не будет полностью подготовлен, сборочные компании не начнут массовый выпуск. Однако затем произойдет быстрый переход.

рис. 7. Мировой рынок передовой сборки в 2022–2028 гг. от YOLE

По прогнозу YOLE Group, мировой рынок передовой сборки чипов вырастет с $44,3 млрд в 2022 до $78,6 млрд к 2028 году, а рынок традиционной сборки соответственно с $47,5 млрд до $57,5 млрд (рис. 7) [27]. Ожидается, что к 2028 году весь рынок сборки чипов достигнет $136 млрд. Капитальные затраты мировых компаний также растут быстрыми темпами (рис. 8) [28]. В 2022 году лидерами среди них являются Intel ($4 млрд), TSMC ($3,6 млрд) и Samsung ($2 млрд), а общий объем инвестиций всех сборочных компаний составил $14,5 млрд.

рис. 8. Инвестиции мировых компаний в полупроводниковую сборку в 2021–2023 гг. от YOLE

В текущем году Intel запустит современную сборочную фабрику в штате Нью-Мексико стоимостью $3,5 млрд, а в июне компания заявила, что к 2027 году собирается построить в Польше передовой сборочный завод стоимостью $4,6 млрд.

В 2023 году компания TSMC уже ввела в эксплуатацию на Тайване сборочный завод Advanced Backend Fab 6, поддерживающий передовые технологии и планирует построить там же еще один стоимостью $2,87 млрд с созданием 1500 новых рабочих мест. Кроме того, чтобы удовлетворить растущий спрос на сборку по технологии «чип-на-пластине» (CoWoS) и увеличить свои мощности в 2 раза к 2025 году, TSMC закупает оборудование для его установки на существующих производствах. Как утверждают эксперты, TSMC преуспевает в этом направлении потому, что давно поняла его перспективу и вовремя начала им заниматься. TSMC пообещала к концу 2024 года удвоить мощности по сборке чипов по технологии CoWoS. Сейчас компания способна выполнить не более 80% заказов NVIDIA, AMD, Apple, Marvell и Broadcom. Поэтому план инвестиций решено увеличить еще на 20% от ранее заложенного уровня [29]. С каждым годом TSMC расширяет свои возможности в других сферах и все больше отходит от своей специализации только чистой кремниевой фаундри-компании производства чипов, добавляя контрактные возможности по сборке по самым сложным технологиям.

Samsung хотя и отстает от Intel и TSMC в передовых сборочных решениях, но обладает собственными технологиями 2,5D I-Cube, H-Cube, 3D X-Cube, что принесло ей $3,1 млрд в 2021 и $4 млрд в 2022 году По размеру инвестиций в $2 млрд она уступает только своим двум основным конкурентам. Samsung анонсировала представление в 2024 году новой технологии 3D-сборки под названием SAINT (Samsung Advanced Interconnection Technology) для интегрирования в одном корпусе чипов процессоров и памяти, в том числе ориентированных на системы искусственного интеллекта [30].

Вложенные этими тремя грандами крупные инвестиции в освоение новых технологий сборки должны окупиться в производстве и в заказах, поэтому не следует рассчитывать, что в ближайшей перспективе они будут заинтересованы в их передаче другим сборочным компаниям.

Можно безошибочно прогнозировать, что в ближайшие годы безработица квалифицированным кадрам в этих сферах и регионах не грозит. 

 

Выводы

  1. В подтверждение всех предварительных прогнозов рынок мировой полупроводниковой электроники в 2023 году покажет падение на 9,4% в сравнении с прошлым годом, которое затронет почти все секторы продукции, но по прогнозам на 2024-й рынок увеличится на 13,1%.
  2. Оценивая растущую стратегическую и долгосрочную важность развития полупроводниковой микроэлектроники, передовые страны мира (США, Евросоюз, Китай, Япония и др.) инвестируют громадные финансовые средства в полупроводниковую отрасль и субсидируют крупные транснациональные компании даже с зарубежной пропиской для строительства новых заводов, центров поддержки, сервиса в своих странах. Россия не относится к этой категории передовых стран и в связи с санкциями не может воспользоваться плодами мировой интеграции и обречена на увеличение отставания.
  3. Стимулированный «Законом о чипах» в США происходит бум частных инвестиций в полупроводниковую отрасль, достигающий $200 млрд и обеспечивающий создание более 40 тыс. новых рабочих мест. Инвестиции направляются не только в сектор производства и сборки чипов, но и в сопутствующие сферы производства оборудования, материалов, химикатов и т. д.
  4. Компания TSMC продолжает оставаться самой динамичной мировой полупроводниковой компанией и с учетом агрессивной экспансии в зарубежные страны и проблем конкурентов Intel и Samsumg, по прогнозу автора данной статьи, в ближайшие год-два станет лидером в сфере мировых полупроводниковых продаж.
  5. В продление действия эмпирического правила основателя своей компании, известного как закон Мура, и для подтверждения концепций «Больше Мура» и «Больше, чем Мур», Intel реализует новые конструктивно-технологические решения подачи напряжения питания с обратной стороны чипа и замену органической подложки на стеклянную в сложных корпусах и сборках. Обе технологии станут базовыми для мировой отрасли на ближайшее десятилетие и позволят повысить степень интеграции и параметры чипов и приборов.
  6. По мере приближения к технологическому субнанометровому рубежу в 1 нм ведущий европейский полупроводниковый институт Imec представляет дорожную карту эволюции базовых транзисторов и технологий до 2036 года, от FinFET через GAAFET к CFET и до 0,2 нм и базовые технологии подачи питания с обратной стороны чипа и 3D-чиплетной сборки.
  7. Новая перспективная концепция 2,5–3D-чиплетной сборки с применением техпроцессов, характерных для производителей чипов, и в связи с необходимостью отработки стандартов сборки такой продукции, текущей неготовностью контрактных сборочных компаний к ее внедрению, пока становится приоритетом, задачей и бизнесом для крупных гигантов Intel, TSMC, Samsung, делающих крупные инвестиции в ее развитие.

 

Литература

 

  1. Боднарь Д. Полупроводниковая микроэлектроника — 2022 г. Часть 1. Оптимизм роста сменился падением мирового рынка и неопределенностью // Электронные компоненты. 2022. № 12.
  2. Global Semiconductor Market is predicted to experience a downturn of 10,3 percent in 2023. June 06, 2023. www.wsts.org
  3. Gartner Forecasts Worldwide Semiconductor Revenue to Decline 11% in 2023. April 26, 2023. www.gartner.com
  4. Global Semiconductor Sales Increase 0.3% Month-to-Month in April. Semiconductor Industry Association (SIA). June 06, 2023. www.semiconductors.org
  5. Semiconductor Worlwide. Statista. August 2023. www.statista.com
  6. WSTS Semiconductor Market Forecast Fall 2023. World Semiconductor Trade Statistics (WSTS). November 28, 2023. www.wsts.org
  7. SEMI: Global silicon wafer shipment growth to rebound in 2024 after decline in 2023. SemiMedia. October 30, 2023. www.semimedia.cc
  8. The CHIPS Act Has Already Sparked $200 Billion in Private Investments for U.S. Semiconductor Production. Semiconductor Industry Association (SIA). Dec 14, 2022. www.semiconductors.org
  9. 9 Governments Set to Fund New, Localized Chip Fabs. EETimes. August 8, 2023. www.eetimes.com
  10. Global 200 mm Fabs to Reach Records High Capacity by 2026. SEMI Reports. September 19, 2023. www.semi.org
  11. Infineon to build the world’s largest 200-millimeter SiC Power Fab in Kulim, Malaysia, leading to total revenue potential of about seven billion euros by the end of the decade. Infineon Technologies. August 3, 2023. www.infineon.com
  12. GlobalFoundries and STMicroelectronics Finalize Agreement for New 300mm Semiconductor Manufacturing Facility in France. GlobalFoundries Inc. June 5, 2023. gf.com
  13. STMicroelectronics to build integrated Silicon Carbide substrate manufacturing facility in Italy. STMicroelectronics. October 5, 2022. st.com
  14. STMicroelectronics will build a chip factory in Italy for 5 billion euros. Tech News Space. October 2023. technewsspace.com
  15. Amkor’s Newest Factory Set to Open in Vietnam on October 11, 2023. Amkor Technology. October 10, 2023. amkor.com
  16. Micron Commemorates 45 Years of Innovation with the Inauguration of its State-of-the-Art Assembly & Test Facility in Malaysia. Micron Technology. October 13, 2023. micron.com
  17. Intel’s global push — investing billions in new production. Evertiq. November 28, 2023. evertig.com
  18. Driving Europe’s Chip Renaissance: TSMC’s Vision with ESMC. EETimes. September 9, 2023. www.eetimes.com
  19. GlobalFoundries to Invest $8 Billion in Dresden Fab, HB Says. Bloomberg. September 28, 2023. www.bloomberg.com
  20. America Faces Significant Shortage of Tech Workers in Semiconductor Industry and Throughout U.S. Economy. Semiconductor Industry Association (SIA). July 25, 2023. www.semiconductors.org
  21. Intel Is All-In on Backside Power Delivery — The company’s PowerVia interconnect tech demonstrated a 6 percent performance gain. IEEE Spectrum. June 8, 2023. spectrum.ieee.org
  22. VLSI Symposium — Intel PowerVia Technology. SemiWiki. June 12, 2023. semiwiki.com
  23. Intel Shows off Work on Next-Gen Glass Substrates, Plans Deployment Later in Decade. AnanTech/September 18, 2023. www.anandtech.com
  24. Intel Ushers a New Era of Advanced Packaging with Glass Substrates. SemiWiki. September 18, 2023. semiwiki.com
  25. Боднарь Д. Полупроводниковая микроэлектроника — 2020 г. Часть 3. Марафонская полупроводниковая гонка к 1 нм. Электронные компоненты. 2021. № 2.
  26. 4 Technologies that Sum up Imec’s sub-1 nm Silicon Roadmap. EDN. September 30, 2023. www.edn.com
  27. Advanced Packaging Market Monitor. YOLE Intelligence. September 2023. www.yolegroup.com
  28. Status of the Advanced Packaging 2023. YOLE Intelligence. June 2023. www.yolegroup.com
  29. TSMC ещё сильнее расширит мощности по упаковке чипов, необходимые для выпуска передовых микросхем NVIDIA, AMD и других. 3DNews. 14 ноября 2023 г. 3dnews.ru
  30. Samsung to unveil 3D Al chip packaging tech SAINT to rival TSMC. The Korea Economic Daily. November 12, 2023. www.kedglobal.com

 

Сообщение Полупроводниковая микроэлектроника — 2023 г. Часть 2. Мировой рынок временно упал, но быстрый рост производственных мощностей и новых технологий продолжается появились сначала на Время электроники.





Губернаторы России
Москва

Собянин представил летнюю программу мероприятий в «Лужниках»





Москва

Новый рекорд России: медитация Relax FM объединила 1699 человек


Губернаторы России

103news.net – это самые свежие новости из регионов и со всего мира в прямом эфире 24 часа в сутки 7 дней в неделю на всех языках мира без цензуры и предвзятости редактора. Не новости делают нас, а мы – делаем новости. Наши новости опубликованы живыми людьми в формате онлайн. Вы всегда можете добавить свои новости сиюминутно – здесь и прочитать их тут же и – сейчас в России, в Украине и в мире по темам в режиме 24/7 ежесекундно. А теперь ещё - регионы, Крым, Москва и Россия.

Moscow.media
Москва

Собянин представил летнюю программу мероприятий в «Лужниках»



103news.comмеждународная интерактивная информационная сеть (ежеминутные новости с ежедневным интелектуальным архивом). Только у нас — все главные новости дня без политической цензуры. "103 Новости" — абсолютно все точки зрения, трезвая аналитика, цивилизованные споры и обсуждения без взаимных обвинений и оскорблений. Помните, что не у всех точка зрения совпадает с Вашей. Уважайте мнение других, даже если Вы отстаиваете свой взгляд и свою позицию. 103news.com — облегчённая версия старейшего обозревателя новостей 123ru.net.

Мы не навязываем Вам своё видение, мы даём Вам объективный срез событий дня без цензуры и без купюр. Новости, какие они есть — онлайн (с поминутным архивом по всем городам и регионам России, Украины, Белоруссии и Абхазии).

103news.com — живые новости в прямом эфире!

В любую минуту Вы можете добавить свою новость мгновенно — здесь.

Музыкальные новости

Александр Розенбаум

Премьера мюзикла по песням Александра Розенбаума намечена на осень 2025 года




Спорт в России и мире

Алексей Смирнов – актер, которого, надеюсь, еще не забыли

В Кузьминках обустраивают пространство для отдыха и занятий спортом

Навка: во время болезни Заворотнюк возили по Москве только в парандже

В сочинском парке «Ривьера» установили национальный рекорд по массовой медитации


Уимблдон

Даниил Медведев представил форму, в которой выступит на Уимблдоне



Новости Крыма на Sevpoisk.ru


Москва

Петербург стал лидером среди регионов по числу заражений ковидом в прошлом году



Частные объявления в Вашем городе, в Вашем регионе и в России